Educational guide 2013_14
Escola de Enxeñaría de Telecomunicación
Grao en Enxeñaría de Tecnoloxías de Telecomunicación
 Subjects
  Deseño e síntese de sistemas dixitais
   Sources of information

BASIC BOOKS OF THE SUBJECT:

[CHU 06]                     CHU, PONG P., “RTL Hardware Design Using VHDL: Coding for Efficiency, Portability, and Scalability”, John Wiley & Sons Inc, 2006.

[ÁLVAREZ 13]                        ÁLVAREZ RUIZ DE OJEDA, L.J., Digital Design with FPGAs, Vision books,

                                    Madrid, 2013.

 

COMPLEMENTARY BIBLIOGRAPHY OF THE SUBJECT:

Course documentation, available on the following website “http://www.faitic.uvigo.es”.

 

DESIGN OF DIGITAL SYSTEMS:

 

[ÁLVAREZ 04]             ÁLVAREZ RUIZ DE OJEDA, Digital Design with Programmable Logic, Publisher Tórculo, Santiago de Compostela, 2004.

[ÁLVAREZ 02]             ÁLVAREZ RUIZ DE OJEDA, L. Jacobo, COMMANDED PÉREZ, And., VALDÉS CRAG, M.D.,  Programmable Logical Devices and his applications, Publisher Thomson-Paraninfo,  2002.

[ÁLVAREZ 01]             ÁLVAREZ RUIZ DE OJEDA, Design of applications by means of PLDs and FPGAs, Publisher Tórculo, Santiago de Compostela, 2001.

[ARTIGAS 02]             ARTIGAS MAESTRE, J.I., BARRAGÁN PÉREZ, L.To., ORRITE URUÑUELA, C., URRIZA PARROQUÉ, I., Digital Electronics. Applications and problems with VHDL, Prentice-Hall, Madrid, 2002.

[BOLTON 90]              BOLTON, M., "Digital systems design with programmable logic", Addison-Wesley, 1990.

[LALA 90]                    LALA, Parag K., "Digital system design using programmable logic devices", Prentice Hall, New Jersey, 1990.

[PELLERIN 91]            PELLERIN, D., HOLLEY, M., "Practical design using programma­ble logic", Prentice Hall, London, 1991.

[SCARPINO 98]          SCARPINO, F., “VHDL and AHDL digital system implementation”, Prentice Hall, London, 1998.

 

FPGAs:

[CHAN 94]                   CHAN, Pak K., MOURAD, Samiha, "Digital design using Field Programma­ble Gate Arrays", Prentice Hall, New Jersey, 1994.

[JENKINS 94]              JENKINS, Jesse H., "Designing with FPGAs and CPLDs", Prentice Hall, New Jersey, 1994.

[OLDFIELD 95]           OLDFIELD, J.V., DORF, R.C., "Field Programmable Gate Arrays: Reconfigurable logic for rapid prototyping and Implementation of Digital Systems", John Wiley & Sons, 1995.

[SHARMA 98]              SHARMA, To. K., "Programma­ble logic handbook", McGraw Hill, Fairfield, 1998.

[XILINX]                      Direction of Internet, http://www.xilinx.com, Xilinx.

 

VHDL:

[ASHENDEN 08]         ASHENDEN, PETER J., “The Designer's Guide to VHDL”, 3rd edition, Morgan Kaufmann Publishers, 2008.

[ASHENDEN 98]         ASHENDEN, PETER J., “The VHDL Cookbook”, University of Adelaide,1998.

[BHASKER 98]            BHASKER, “To VHDL Synthesis First”, 2nd edition, Star Galaxy Pub, 1998.

[CHU 08]                     CHU, PONG P., “FPGA Prototyping by VHDL Examples”, John Wiley & Sons Inc, 2008.

[IEEE 01]                     Standard IEEE VHDL Language Reference Manual (IEEE Srd 1076-2001), Institute of Electrical and Electronics Engineers, 2001.

[PÉREZ 02]                 PÉREZ LÓPEZ, S.A., SOTO CAMPOS, E., FERNÁNDEZ GÓMEZ, S., Design of digital systems with VHDL, Thomson-Paraninfo, Madrid, 2002.

[PERRY 02]                 PERRY, DOUGLAS L., “VHDL: Programming by example”, 4th edition, McGraw-Hill, 2002.

 

Universidade de Vigo            | Reitoría | Campus Universitario | C.P. 36.310 Vigo (Pontevedra) | Spain | Tlf: +34 986 812 000